Indent fix

This commit is contained in:
Fabio Manganiello 2022-01-25 20:54:26 +01:00
parent 50a5ec3312
commit 7f0cb722a3
1 changed files with 34 additions and 35 deletions

View File

@ -74,5 +74,4 @@ LXPanelPluginInit fm_module_init_lxpanel_gtk = {
.one_per_system = 1,
// assigning our functions to provided pointers.
.new_instance = constructor
};
.new_instance = constructor};